Enroll Course

100% Online Study
Web & Video Lectures
Earn Diploma Certificate
Access to Job Openings
Access to CV Builder



online courses

Transformation: IFS Evolves into Intel Foundry, Introducing Expanded Business with 14A Process Added to Roadmap

business . 

In 2021, Intel's CEO Pat Gelsinger unveiled an ambitious strategy to reclaim Intel's dominance in the foundry sector by committing to deliver 5 nodes in 4 years. Despite encountering significant delays in the 2010s and relinquishing its status as the premier fab globally, Intel opted to heavily invest in its fabs rather than divest them. Fast forward to the present, and Intel is beginning to reap the rewards of this bold roadmap with the introduction of products based on its first EUV-based node, Intel 4, in the market. Moreover, its high-volume counterpart, Intel 3, is ready, and the company is in the final stages of developing its first Gate-All-Around (GAAFET)/RibbonFET for release in 2024 and 2025.

To showcase its progress and future trajectory, Intel's Foundry group is hosting its inaugural conference, Direct Connect. This event serves as a platform not only to highlight Intel's accomplishments but also to provide insights into its roadmap beyond the initial 5 nodes. As Intel Foundry expands its capacity, customer base, and tooling, it is exploring advanced nodes and packaging technologies to meet the evolving demands of the market.

A major announcement at Direct Connect is the rebranding of Intel Foundry Services to "Intel Foundry," signaling an expansion of its service offerings to include advanced packaging, chip assembly, and testing. Intel aims to position itself as a comprehensive solution provider for chip production, offering customers a streamlined and integrated approach to semiconductor manufacturing.

Intel's forward-looking fab roadmap extends beyond the initial 5 nodes, with a focusing on introducing several variations of its major nodes to address diverse customer needs and technological advancements. One notable milestone in this roadmap is the introduction of the 14A node, which represents Intel's first production use of High-Numerical Aperture (High-NA) EUV technology. High-NA EUV enables the fabrication of finer features, leading to improved performance and efficiency in semiconductor manufacturing processes.

Intel remains steadfast in its commitment to the 4-year plan, with the company on track to deliver Clearwater Forest, its second-generation E-core based Xeon, on the 18A node. This project showcases Intel's advanced foundry technologies, including 18A for compute elements, Intel 3 for base die, EMIB for die connections, and Foveros Direct for die-to-die connections.

Intel's efforts to collaborate with Electronic Design Automation (EDA) providers and IP vendors signify a strategic approach to bolster its foundry business. By forging strong partnerships with key stakeholders in the semiconductor ecosystem, Intel aims to enhance the efficiency of chip design processes and accelerate the development of chips tailored for its fabs.

The company's Direct Connect conference serves as a significant milestone, showcasing Intel's advancements in the foundry sector while articulating its long-term vision. Through this event, Intel demonstrates its commitment to innovation, creation,  collaboration, and customer-centric solutions, positioning itself as a formidable force in the semiconductor industry.

By fostering an ecosystem of collaboration and innovation, Intel seeks to capitalize on emerging opportunities in the foundry market and strengthen its competitive position. Through strategic partnerships and a focus on technological advancement, Intel aims to drive growth and maintain its leadership in the semiconductor landscape.

SIIT Courses and Certification

Full List Of IT Professional Courses & Technical Certification Courses Online
Also Online IT Certification Courses & Online Technical Certificate Programs